Series Pass Voltage Regulator From Discrete Electronic Engineering Essay

Modified: 1st Jan 2015
Wordcount: 5300 words

Disclaimer: This is an example of a student written essay. Click here for sample essays written by our professional writers.
Any scientific information contained within this essay should not be treated as fact, this content is to be used for educational purposes only and may contain factual inaccuracies or be out of date.

Cite This

The objective of this project was to construct and design a 9V ± 0.3V, 1A series pass voltage regulator from discrete electronic parts. The voltage regulator must exhibit a voltage regulation of 5% or better and should be supplied with a dc input voltage. The regulator circuit was required to include a way to disconnect the load from the regulator if the load current exceeded 1A or if the temperature of the series pass element exceeded 40°C.

It was necessary to measure each parameter of the circuit and convert it from an analog to a digital signal. This signal would provide data necessary to display the values on the Spartan III FPGA Development Board.

The numerical display was not to have any zeros leading a number, unless it affected the value. Also, the display was to be cyclic, alternating at 5 second intervals.

The circuit was designed, built and tested. It produced 9.03V output and 1.02A through a 9Ω load. The cut-off protection worked as well as the variable sensing circuitry. The linkage of the analog and the digital components, however, remain incomplete.

Contents

LIST OF FIGURES

LIST OF TABLES

LIST OF ABBREVIATIONS AND SYMBOLS

Symbol

Description

ADC

Analog to Digital Converter

Op-amp

Operational Amplifier

V

Voltage/Volts

I

Current

R

Resistance

A

Ampere

Ω

Ohm

°C

Degree Celsius

F

Farad

IC

Collector Current

IB

Base Current

VBE

Base-Emitter Voltage

LUT

Look Up Table

BCD

Binary Coded Decimal

MUX

Multiplexer

CLK

Clock

DPDT

Double Pole Double Throw

FPGA

Field Programmable Gate Array

Table : List of Abbreviations Contained in this Report

INTRODUCTION

Commercial power is usually distributed with an AC supply. An unregulated voltage such as this could cause damage to many household appliances and electronic devices. Therefore it is a safety hazard.

A voltage regulator is a device that maintains a relatively constant output voltage for a varied input voltage. It functions by comparing the output voltage to a fixed reference and minimizing this difference with a negative feedback loop.

The aim of this project to design a series pass voltage regulator with an output of 9 ± 0.3V and regulation of at least 5%. It should be able to disconnect the load from the regulator for temperatures exceeding 40⁰C and a current greater than 1A.

Using A/D converters, these values (output regulator voltage and current, temperature of the series pass transistor) were converted to digital format and displayed on the Spartan III FPGA Development Board.

Each value received from the analog component should be displayed with no unnecessary leading zeroes. The display had to alternate between different variables of voltage, current and temperature.

The Digital Component of this project was designed in Xilinx ISE 7.1i, and the necessary tests were carried out. A User Constraints file was created, which allowed for the programming of the FPGA Board.

BACKGROUND THEORY AND LITERATURE REVIEW

Using an unregulated power supply is unfeasible for most tasks. This is because as the load current increases, the ripple voltage increases and the DC output voltage decreases. The voltage regulator greatly reduces ripple and produces a steady output voltage for a range of input voltages.

Different types of voltage regulators have different functions. There are two main types, shunt and series voltage regulators.

Shunt Voltage Regulator

For a shunt voltage regulator, the regulating device is placed in parallel with the load. A resistor is placed in series with the load and the unregulated supply. The current is varied through the control element depending on the load current. This causes a voltage drop across the resistor in series, maintaining a constant load voltage. (Prof. Gift, 2012)

Figure : Shunt Voltage Regulator

Shunt Voltage Regulator Example: Zener Diode Regulator

Figure : Zener Diode Voltage Regulator

The zener diode is a semi-conductor diode designed to operate in the reverse-biased region. In forward bias, it functions as a normal diode but when in reverse bias, it breaks down for voltages exceeding the breakdown voltage, or zener voltage. For operation in this region a current Iz is required where the limits being the minimum and maximum current for the diode to operate without breaking down. (Prof. Gift, 2012)

Get Help With Your Essay

If you need assistance with writing your essay, our professional essay writing service is here to help!

Essay Writing Service

Series Voltage Regulator

For a series voltage regulator, the regulating device is placed in series with the load and the unregulated supply. (Prof. Gift, 2012) The output voltage is sampled by a circuit that provides a feedback voltage to be compared to a reference voltage. If the output voltage increases, the comparator circuit provides a control signal to cause the series control element to decrease the amount of the output voltage, thereby maintaining the output voltage. If the output voltage decreases, the comparator circuit provides a control signal to cause the series control element to increase the amount of the output voltage. (Electronic Devices and Circuit Theory 7th Ed.)

Figure : Series Voltage Regulator

There are different circuit topologies for the series voltage regulator. These will be examined next.

Simple Series Transistor Regulator

To improve the current capacity of the zener diode regulator, a transistor is used in the emitter follower configuration. This acts as the series control element. The collector is supplied by the regulated voltage. The transistor reduces the load current capacity of the zener by a factor of the transistor current gain. The zener voltage is therefore produced at the emitter. The equation IC = βIB is used to link the collector current and the base current. Due to high current gain, even very large changes in IC result in only small changes in IB. This means IZ is mostly stable. The input voltage must be greater than the diode voltage to ensued proper transistor bias. (Prof. Gift, 2012)

Figure : Simple Series Transistor Voltage Regulator

IZ – current through the zener diode

IC – collector current

IB – base current

Discrete Voltage Regulator

A transistor Tr2 is connected as the series pass transistor and another one Tr1 acts as the error amplifier. In the single transistor regulator output ripple voltage is low, but the output voltage still varies. This is due to the VBE/IC characteristic of the transistor. Feedback is used to correct the output. This amplifier compares the sampled voltage with a reference voltage in order to generate a signal proportional to the difference. This is used to drive the series pass element, which then varies the output voltage such that the error is reduced and the output voltage regulated.

Figure : Discrete Transistor Voltage Regulator

The voltage across the series element is (Vin – Vout). The input voltage to the error amplifier is βVout – Vref, where .

The output voltage across the series element is an amplified version of the input voltage,

. If Vref is constant, . This is the stability factor.

This means that the ripple voltage is reduced by a factor of . The higher the loop gain, Aβ, the better the regulator performance. ‘A’ is gain of error amplifier.

The resistor R can be connected to the regulated side of the circuit to improve the design and decrease ripple voltage. Also, a capacitor may be placed in parallel to the Zener diode. Another capacitor can be placed across the output removing output noise and input impedance at high frequencies. A Darlington Pair may be used as the series element. This has two transistors in a single package. The Darlington Pair increases the current gain of the series element, making it able to supply a larger load current if required. (Prof. Gift, 2012)

Operational Amplifier Series Voltage Regulator

In order to improve the regulator performance, the loop gain A is increased. A simple method of doing this is to replace the transistor error amplifier with an operational amplifier as shown in figure 6. The operational amplifier compares the reference voltage of the zener with the feedback voltage sampled by resistors R1 and R2. The Darlington Pair design is used here and R3 connected to the regulated supply to reduce the ripple voltage. The operational amplifier (op amp) must be supplied by the unregulated input voltage. (Prof. Gift, 2012)

Figure : Operational Amplifier Series Voltage Regulator

STANDARDS

Certain standards were considered in the undertaking of this project. These are:

ISO 9001:1994 – Quality Systems – Model for Quality Assurance in Design, Development, Production, Installation and Servicing (http://www.ttbs.org.tt/)

TTS 620 2008 – Occupational Safety and Health Risk Assessment Requirements(http://www.ttbs.org.tt/)

BS QC 790304:1994 – Specification for harmonized system of quality assessment for electronic components. (http://www.standardsuk.com)

RISK ASSESSMENT

Possible Hazards:

15V supply voltage. Risk of electrical shock, burns and death.

Solder fumes present. Dangerous if inhaled.

The heat generated by the power resistor could cause burns.

The tip of the soldering gets very hot and could cause severe burns or start a fire.

Clipping wires and leads may cause them to be projected into eyes and face.

Steps Taken to Avoid Risk:

Ensured that student was properly grounded. Proper clothing and footwear were worn. No long hanging jewellery or hair.

Before energising a circuit, it was checked by a technician.

Tested conductors before handling them.

Exhaust fan present in lab. Regularly stepped outside for fresh air.

Clipped wires away from face of anyone present.

DESIGN APPROACH AND METHODOLOGY

Project Requirements:

Design and build a 9V ± 0.3V, 1A Series Pass Voltage Regulator using discrete electronic components.

Regulator must have a voltage regulation or 5% or better and must be fed by a dc input voltage from a laboratory power supply. In addition, the regulator must incorporate temperature and over current sensing circuits which would disconnect the load from the regulator, for temperatures and currents exceeding 40 degrees centigrade and 1 A respectively.

The output regulator voltage and current, together with the temperature of the series pass transistor must be converted to digital format using A/D converters and displayed on the Spartan 111 FPGA Development Board when selected. The following specifications must be met for the display of the variables:

1. The units of all variables are to be displayed along with the respective reading.

2. The voltage is to be displayed to 1 decimal point.

3. The current is to be displayed to 2 decimal points.

4. The temperature is to be displayed as a whole number.

5. The display of the readings for voltage, current and temperature are to automatically appear in a cyclic manner, with each reading being displayed for 5 seconds.

6. All leading zeros are to be suppressed. (ECNG 2004 Design Project Description)

This design had both an analog and a digital component.

ANALOG COMPONENT

This component involved the design and construction of the Series Pass Voltage Regulator and the sensing circuitry to detect current, voltage and temperature. These sensors were constructed to relay the values necessary for the digital component. Analog to Digital Converters were designed to convert the analog output from the current, voltage and temperature sensing circuits to digital format so as to be to be read by the Spartan FPGA Board.

Design of the Operational Amplifier Series Voltage Regulator

Figure : Circuit Diagram of the Operational Amplifier Series Voltage Regulator

The regulator was powered by a DC input voltage from a laboratory power supply.

The voltage used in the design process for the purpose of calculation was 15 volts.

Choosing the Zener Voltage and Zener Current

The Zener Voltage, Vz was supplied by the Zener Diode, D1 as shown in Figure 7 above. The Zener Voltage was used as a reference voltage by the Operational Amplifier. The op amp is functioning as a differential amplifier in this situation. The difference between the inputs at the inverting and non-inverting terminals is amplified.

Gain,

The output voltage, VZ – zener voltage

Vz should be high enough so that gain would not decrease to achieve the required output.

If Vz was too high, i.e. the output voltage, no current would flow through D1. An appropriate value between 0V and 9V was chosen, VZ = 4.8V.

The IN4732 Zener Diode was chosen and the specification sheet for this model was obtained. The specified test current was 53mA, and the chosen value was 40mA. This was chosen to ensure proper bias.

Calculating Resistor R3

The resistor R3 was in series with the Zener Diode. Therefore, the current through them is the same.

A 100 Ω resistor was chosen as it was the closest one in value available in stores.

R3 = 100Ω

Calculating Resistors R1 and R2

Resistors R1 and R2 formed a potential divider providing the inverting input of the op amp. This sampled the output and sent it to the error amplifier. If the value of the output changed from the designed, the voltage drop across the resistors would change and the op amp input voltage would change, producing an error voltage at the output of the op amp. This error voltage will either turn on more or turn off more Transistor Tr1 and effectively Tr2 as well.

The output voltage, Vo is related to the Zener Voltage by the equation below.

Substituting Vo = 9V and Vz = 4.8V,

Therefore,

If R2 chosen to be 10kΩ, then

Therefore, R1 = 8.8kΩ and R2 = 10kΩ.

Choosing an Operational Amplifier

The LF351 op-amp was chosen for this design. It only needed to function as a differential amplifier.

Choosing the Series Pass Transistor

The required output current was 1 Ampere. Therefore the maximum collector current of the series pass transistor had to be greater than 1 A. high power transistor was needed, but the current gain of power amplifiers is low (approximately 40). The base current and collector current for a transistor are related by the following expression.

Substituting Current gain, hfe = 40 and Ic = 1 A,

The LF351 op amp could not supply this base current. A Darlington Pair arrangement was used instead. Darlington Pairs have high current gain. The high power transistor chosen was TIP31C, packaged in a TO-220 Case. The current gain, hfe1 of this transistor was specified to be between 10 and 50, so a value of 30 was used.

An NPN medium power transistor, BFY51 in a TO-39 metal package, was chosen for Tr2 in Figure 6. The current gain, hfe2 was found to be 123.

Hence, total current gain of the Darlington Pair:

hfe1 Ã- hfe2, i.e. 30 Ã- 123 = 3690.

Substituting Current gain, hfetotal = 3690 and Ic = 1 A,

Design of the Voltage Sensing Circuit

The purpose of the Voltage Sensing Circuit was to determine the output voltage of the regulator and relay this voltage to the Analog to Digital Converter input. The Analog to Digital Converter (ADC) chip (ADC08040 IC) had a reference voltage of 4.5V. The maximum voltage possible was 9.5V. Hence if the voltage output was 9.5V, the ADC08040 input voltage should be 4.5V.

VO had to be stepped down by a potential divider before it could be sent to the ADC08040. It was stepped down by a factor of .

Let R1 = 1kΩ, then R2 = 1kΩ

This voltage was sent to a Unity Gain Voltage Follower (Figure 8) and was input to the ADC circuit. (Prof. Gift, 2012)

Voltage Sensor.bmp

Figure : Voltage Sensing Circuit

Design of the Current Protection Circuit

The purpose of this was to disconnect the load from the regulator when the current flowing through the load surpassed 1A. The protection circuit monitored the load current and sent a signal to a device to disconnect the load, when the current rose to over 1A. A 1Ω shunt was used in series with the 9Ω load resistor. The shunt voltage was used to determine cut-off.

An instrumentation amplifier was chosen (INA114AP) to compare the temperature sensor voltage and a potential divider voltage. The shunt voltage was amplified to increase chances of accurate determination of when to disconnect the load. A potential divider was constructed to deliver a4V, and the shunt voltage was also amplified to 4V.

Potential Divider:

Which gives:

Let R1 = 20kΩ

The voltage from the shunt was amplified to 4V as well, and this was done by an op-amp and two resistors set up in the non-inverting amplification configuration shown below. The reference used to be amplified was 0.9V from the shunt, as this was the value which, if exceeded, cut-off and disconnection of the load was supposed to occur.

For an non inverting amplifier,

Vo = 4V, Vi = 0.4V,

And

Let R1 = 2.4kΩ, R2 = 8.2kΩ

.Temperature Amp Input to INA.bmp

Figure : Circuit for Comparison and Determination of Cut-off

When both inputs have the same voltage across them, the instrumentation amplifier would have an output of zero. The transistor in Figure 10 would be turned off and the base would be at 0V.

The transistor used was a 2N3904 (Ic = 200mA). If the output is non-zero, the base would be driven by a voltage and the transistor will be “ON”.

When the output is zero (same inputs) the transistor would be “OFF” and the relay coil would be grounded through the transistor. Current would flow through the coil, producing a magnetic field, and the relay would latch.

When the instrumentation amp inputs are different, the output would saturate at +Vcc, i.e. 9V. The coil would an equal voltage at either end and so would have no voltage drop across it, meaning no current flowing through it. The relay is effectively OFF. There is a Normally Open (N.O.) Switch between pins 2 & 3, and 7 & 5 of the relay as well as Normally Closed (N.C.) Switches across pins 2 & 3 and 7 & 6. The N.O. switches close and the N.C. switches open when the relay latches. The load was connected across the N.C. pins. When the instrumentation amp has the same inputs, (zero output), and there is a voltage drop across the coil, current flows and the relay latches, disconnecting the load from the circuit.

The relay had a rated voltage of 6V and the coil had a measured resistance of 70.5Ω. The relays, however, were actually found to latch at a voltage of 3.2V. Therefore for calculation purposes 3.5V is used = 49.6mA was needed to latch the relay.

A potential divider was used to provide the required voltage for the relay.

For a resistor R3,

This caused a voltage drop across the coil, larger enough to activate the coil when necessary.

The voltage across resistor R3 is given by,

For Ic = 49.6mA,

The Base Current of the transistor is given by

For Ic = 49.6mA, and hfe = 100,

To bias the base of the transistor for the 9V output of the op-amp,

A 16kΩ resistor was used. This would change VBE of the transistor. The new VBE was well within the operating range required for the resistor.

Temperature Cutoff.bmp

Figure : Current Protection Circuit

Design of the Current Sensing Circuit

The Current Sensing Circuit determined the voltage regulator output load. This value of current would be received by the ADC input. The ADC chip (ADC08040 IC ) was 4.5V. The Current LUT could convert a maximum of 1.29A. This means when the regulator reaches 1.29A, the ADC will be at 4.5V.

A 1Ω shunt resistor was placed in series with the load. The 9V regulated output would now be applied to a combined load of 10Ω.

Voltage drop across the shunt:

Therefore:

The output voltage across the shunt is 0.9V. This will correspond with the maximum voltage which will give all 1’s at the ADC output. Thus the voltage must be stepped up using a non-inverting op-amp. The voltage of 0.9V was stepped up to 4.5V.

This means the gain is = 5

Let R1 = 2k Ω. Therefore, R2 = 8kΩ

The potential divider voltage was passed through the amplifier and then input into the ADC.

Current Sensing Circuit.bmp

Figure : Current Sensing Circuit

Design of the Temperature Protection Circuit

This disconnects the load from the regulator when the temperature of the Power Transistor (TIP31C) reached 40°C. The Power Transistor temperature was monitored and at 40°C, a signal would be sent from the circuit to a device, disconnecting the load.

The LM35DZ was chosen. Its sensitivity was 10mV/°C. At 40°C, the temperature sensor output would be 0.4V.

An instrumentation amplifier (INA114AP) was chosen to compare the temperature sensor voltage and the potential divider voltage. For a more accurate determination of the load, the temperature sensor output voltage was amplified. The potential divider delivered a voltage of 4V, and the sensor output voltage was also amplified to 4V.

Therefore:

Let R1 = 20kΩ

An op amp and two resistors were used to build a non-inverting amplifier in order to set the temperature sensor voltage to 4V. The reference voltage was 0.4V, since it is at this point cut-off should take place.

For a non-inverting amplifier: , ,

Let R1=1kΩ and R2=9kΩ,

Temperature Amp Input to INA.bmp

Figure : Amplifier Circuit for Comparison and Determination of Cut-off

.

When both input voltages are the same, the amplifier output would be 0V, turning off the transistor. (No VBE present). A 2N3904 transistor was used (Ic = 200mA), so the current would be large enough to latch the relay.

If the amplifier output is not 0V, there would be a voltage drop across the base and the transistor would be “ON”.

When the amplifier output is 0V, the transistor would be “OFF”, grounding the relay, causing current flow in the coil, latching the relay. When the amplifier inputs are at different levels, the output saturates at +Vcc = 9V. Equal voltages exist at both ends of the coil, so no current flows hence the relay is “OFF”. A Normally Open (N.O.) Switch exists across pins 2 & 3, and 7 & 5 of the relay. Normally Closed (N.C.) Switches exist across pins 2 & 3 and 7 & 6. The N.O. switches close and the N.C. switches open upon latching. Consider this load connected across the N.C pins and the amplifier has equal inputs. The amplifier output is 0V, causing a voltage drop across the relay coil, causing current flow and latching of the relay. It follows then that the load is disconnected from the circuit.

For a resistor R3,

R3 was used to activate the coil by creating a voltage drop across it.

For Ic = 49.6mA,

For biasing,

A 16kΩ resistor was used instead.

Temperature Cutoff.bmp

Figure : Temperature Protection Circuit

This circuit was designed to relay the temperature of the power (TIP31C) to the ADC input. The ADC chip (ADC08040 IC ) reference voltage was 4.5V. The Temperature LUT could convert up to 129⁰C. At this temperature, the ADC should be 4.5V. A Temperature Sensor (LM35DZ) in a TO-92 package used to determine the temperature. This had a sensitivity of 10mV/°C. At 129°C, VO = 1.29V.

Sensor output voltage was stepped up using a non-inverting op amp by a factor of

, Let R1 = 1k Ω. R2 = 2.49kΩ ≈ 2.5kΩ

The sensor voltage was amplified and input into the ADC.

Temperature Sensing Circuit.bmp

Figure : Temperature Sensing Circuit

A copper strip board was used to build the voltage regulator circuit since the solderless breadboard could only take up to 0.5A.

Inputs: Voltage Supply of 15V Outputs: Voltage Sensing Output

OV Ground Regulated 9V Output

Temperature Sensing Voltage

A voltage follower was used to buffer the output. The circuit was constructed as seen in figure 15:

Figure : Complete Sensing and Cut-off Circuit

DESIGN OF THE ANALOG TO DIGITAL CONVERSION CIRCUIT

An 8 bit representation was used with reference to the 4.5V signal. The analog signal was converted to a digital signal from the 3 sensing circuits using the schematic shown below. Analog to digital conversion was done using the. A resistor pack was used to provide over current protection. This circuit was built and tested for each of the three sensing circuits. A combination of LED’s was used for the input of the analog voltage. AD Converter Circuit.bmp

Figure : Schematic for ADC control configuration

Op amps were used to buffer the inputs. The outputs were mapped onto the respective pins of the 40-pin IDE cable used to interface with the Spartan III Board.

Figure : 40 Pin Expansion Connector (Spartan III Toolkit Datasheet)

Data was transmitted using these pins to the ADC.

DIGITAL COMPONENT

The FPGA board was programmed so as to use the Seven Segment Display. Xilinx ISE 7.1i was used to design and construct the display for all the variables.

Design of the Basic Display Unit

Multiplexers, Frequency Dividers, a Look up Table Device and a Binary Coded Decimal to 7-Segment Converter were used to create the Display Unit.

The 16-bit 3×1 Multiplexer

Multiplexers may have more than one input but usually have one output. A combination of numbers is assigned to each input pin. Using these combinations, the respective input data is sent to the output pin. Therefore the bits that are chosen which input data set to display. In this design, two multiplexers were used, a 4-bit 4×1 and a 16-bit 3×1 multiplexer. 3×1 means 3 data inputs, 16- bit means each input is of 16 bit capacity.

16bit3to1muxschem.bmp

Figure : Schematic Diagram of a 16-bit 3 to 1-line Multiplexer

The 4-bit 4×1 Multiplexer

The 4-bit 4×1 multiplexer can handle up to four combinations of inputs. To select which input is displayed, two bits are necessary. The output was a bus of width 4 bits. It split the 16 bit output into four sets of 4 bits. The 4-bit 4×1 multiplexer is shown in figure 19.

4bit4to1mux.bmp

Figure : Schematic Diagram of a 4-bit 4 to 1-line Multiplexer

Binary Coded Decimal (BCD) to 7-Segment Display Unit

The BCD unit accepts four bits of data, e.g. D3D2D1D0, and determines which segments of the 7-segment display to turn on and off so as to represent the value of the input data. Figure 20 shows a 7-segment display. This type of display is common in electronic equipment e.g. calculators, microwaves, digital clocks. The Minimum Expressions for the BCD are:

Seg_a = D’3D’2D’1D0 + D2D’1D’0 + D3D2 + D3D1

Seg_b = D2D’1D0 + D2D1D’0 + D3D2 + D3D1

Seg_c = D’2D1D’0 + D3D2 + D3D1

Seg_d = D’2D’1D0 + D2D’1D’0 + D2D1D0 + D3D1 + D3D2

Seg_e = D0 + D2D’1 + D3D1

Seg_f = D3D2 + D’2D1 + D1D0 + D’3D’2D0

Seg_g = D3D2 + D3D1 + D2D1D0 + D’3D’2D’1

For each expression, logic gate circuits were created. Each segment was then used to build the final BCD to 7-Segment Converter. The combinations of segments that would form the values were organised.

The Look-Up-Table (LUT)

This was used to determine which display unit anodes were to be turned on and off. There were four individual 7-segment displays. Each one had its own anode and as such could be controlled by choosing the anode of the respective display.

lut.bmp

Figure : Schematic Diagram of a Look-Up-Table (LUT)

Modulo 4 Counter

The Modulo 4 counter was used to perform automatic cycling of the anodes to be displayed. Only one anode was on at a time for each different combination.

Moduloo4counter.bmp

Figure : Schematic Diagram of a Modulo 4 Counter

For multiplexed displays, the entire display is not lit up as the same time. The characters are made up of segments which, under certain conditions, become active. Each character is displayed one at a time. Switching of characters takes place so fast it appears that all the displays are on at the same time. The speed of switching is called the frequency divider (in this case 1kHz). This activates the modulo 4 counter which causes the LUT to choose one of four inputs to be chosen from the 4-bit 4 to 1 multiplexer to send to the 7 segment display.

The Combined Display Unit

Data Unit.bmp

Figure :Schematic Diagram of the Display Unit

Upgrade of the Display Unit to display the Decimal Point

The LUT controls the switching of the anodes on the four 7 segment displays. Each 7 Segment Display has a decimal point that can be turned on or off if necessary. This was necessary in this project when displaying voltage and current.

For a voltage, the decimal point is on the 3rd anode, a2.

0

9.

0

V

For a current, the decimal point is on the 4th anode, a3.

0.

9

9

A

This means that the point will only be on when S0 and S1 select to display voltage or current, and when the third or fourth anodes are on respectively.

The Essential Prime Implicants were chosen from a truth table and the minimized expression was produced as follows:

The Logic Gate circuit was constructed as shown below and implemented in Xilinx ISE 7.1i. A Macro was then created and connected appropriately in the Updated Display Unit Schematic.

Decimal Point Upgrade.bmp

Figure : Logic Gate Circuit for Decimal Point Upgrade

Upgrade of the Display Unit to display the Units for each Variable

The Display Unit was upgraded a second time in order to allow the units of each parameter to show

Parameter

Unit

Symbol for Unit

Voltage

Volts

V

Current

Amperes

A

Temperature

Degrees Celsius

⁰C

Table : Parameters to be Displayed and their Respective Units

The BCD Converter had to be updated to ensure when certain select bits were chosen, the segments would align to form the unit symbol on the first anode.

Since there are four select inputs, D3D2D1D0 , there are 16 possible combinations of these bits forming different outputs. 0000 to 1001 in binary form represents 0 to 9 in decimal form. This means that there are combinations 1010 to 1111 to choose from to display a unit. 1101 was chosen for voltage, 1110 for current and 1111 for temperature.

Figure : Common

 

Cite This Work

To export a reference to this article please select a referencing style below:

Give Yourself The Academic Edge Today

  • On-time delivery or your money back
  • A fully qualified writer in your subject
  • In-depth proofreading by our Quality Control Team
  • 100% confidentiality, the work is never re-sold or published
  • Standard 7-day amendment period
  • A paper written to the standard ordered
  • A detailed plagiarism report
  • A comprehensive quality report
Discover more about our
Essay Writing Service

Essay Writing
Service

AED558.00

Approximate costs for Undergraduate 2:2

1000 words

7 day delivery

Order An Essay Today

Delivered on-time or your money back

Reviews.io logo

1837 reviews

Get Academic Help Today!

Encrypted with a 256-bit secure payment provider